گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، گلشهر

09125623558
Nouri.Iut@Gmail.Com

جهت حمایت از این وبلاگ، ما را به یک فنجان چای داغ مهمان کنید.
6273-8111-1003-9762

دنبال کنندگان ۳ نفر
این وبلاگ را دنبال کنید

تبلیغات

آخرین نظرات

پیوندها

ERROR:HDLCompiler:410 - ".vhd" Line xx: Expression has x elements; expected y"

 

libraryieee; 

use ieee.std_logic_1164.all; 

useieee.numeric_std.all; 

...

constant K : integer := 128;

...

variable r : signed(31 downto 0);

variable d : signed(31 downto 0);

...

r := resize(r + (K * d), 32)

برای کارهای کنترلی از Std_logic_arith و برای کارهای پردازشی (محاسباتی) از Numeric_std استفاده کنید...

هرگز از هر دوی این پکیج ها در یک فایل استفاده نکنید! (ERROR:HDLCompiler:607)! قبلاًها! روی FPGAهای قدیمی تر امکانش بود! اما اصلا کار درستی نبود! از ما گفتن بود!

استفاده از Numeric_std، شما را مجبور می کند!!! تا نوع داده را از نظر علامت دار یا بی علامت مشخص کنید... تا خروجی مناسب با نتایج Matlab برای شما حاصل شود...

نظرات  (۱)

اقا دمت گرم کارم رو راه انداختی

ارسال نظر

ارسال نظر آزاد است، اما اگر قبلا در بیان ثبت نام کرده اید می توانید ابتدا وارد شوید.
شما میتوانید از این تگهای html استفاده کنید:
<b> یا <strong>، <em> یا <i>، <u>، <strike> یا <s>، <sup>، <sub>، <blockquote>، <code>، <pre>، <hr>، <br>، <p>، <a href="" title="">، <span style="">، <div align="">
تجدید کد امنیتی