گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، گلشهر

09125623558
Nouri.Iut@Gmail.Com

جهت حمایت از این وبلاگ، ما را به یک فنجان چای داغ مهمان کنید.
6273-8111-1003-9762

دنبال کنندگان ۳ نفر
این وبلاگ را دنبال کنید

تبلیغات

آخرین نظرات

پیوندها

۹ مطلب با کلمه‌ی کلیدی «FPGA» ثبت شده است

فروش برد آموزشی اسپارتان 6 بدون پروگرامر، 1،000،000 تومان

Accelerators are the core components of navigation systems, and the signal they outputs is usually in form of current, which is analog signal. In order to convenience decode for the navigation computer, the signal needs a current-to-frequency convert circuit!

 

AD652: Voltage (Current)-to-Frequency Converter

Clock Input Frequency: 4 MHz

Maximum Input Voltage: +VS = 36 V

 

The analog input signal to the AD652 is converted to digital frequency output pulses which can be counted by simple digital means. The reference frequency (clock input) is generated by the FPGA (Timer/Counter).

اگرچه این ساختار، در طراحی های جدید پیشنهاد نمی شود!

The TPS74401 family of LDOs is ideal for FPGAs, DSPs, and any other device that requires linear supply and sequencing.

قیمت این گزارش ‏در حال حاضر 100،000 تومان در نظر گرفته شده، که با تعداد 60 صفحه، در قالب DOC منتشر خواهد شد.

بخش هایی از این گزارش را بخوانید!

* The IOSTANDARD default for single-ended I/O is LVCMOS25, for differential I/Os the default is LVDS_25.

 

I/O Standard

VIL

VIH

VOL

VOH

V, Min

V, Max

V, Min

V, Max

V, Max

V, Min

LVTTL

–0.5

0.8

2.0

4.1

0.4

2.4

LVCMOS33

–0.5

0.8

2.0

4.1

0.4

VCCO – 0.4

LVCMOS25

–0.5

0.7

1.7

4.1

0.4

VCCO – 0.4

 

* To use LVCMOS25 inputs when VCCO is not 2.5V, VCCAUX must be set to 2.5V.

* Single-ended I/O outputs that are not available in Banks 0 and 2.

* VCCO required when using I/O standard for an OUTPUT...

مخاطبان دوره: مهندسان، دانشجویان و دانش آموزان رشته‌های مرتبط و علاقمند به افزایش مهارت‌های تخصصی در حوزه سیستم های دیجیتال توکار

پیش‌نیاز دوره: آشنایی عمومی با مدارات منطقی

مدت دوره: 10 جلسه 3 ساعته

زمان برگزاری: پنجشنبه ها و جمعه ها

مکان برگزاری: کرج - سه راه گوهردشت - جنب ایستگاه تاکسی گوهردشت - برج گوهر - طبقه 4 - واحد 12

هزینه ثبت‌نام: 300‏ هزار تومان بابت ده جلسه آموزش حضوری،DVD ‏ نرم‌افزارها و مستندات و پذیرایی

انحراف (جابجایی) ساعت، اختلاف لبه های پالس ساعت (جابجایی فاز) در بخش های مختلف سیستم... 

لرزش ( انحراف یا جابجایی مکانی جزیی) دوره تناوب یک سیگنال متناوب فرضی (سیگنال ساعت : Clock)، در واقع مسئله ای در حوزه ی زمان و زمانبدی است...بین شکل سیگنال مطلوب و سیگنال واقعی...