گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، گلشهر

09125623558
Nouri.Iut@Gmail.Com

جهت حمایت از این وبلاگ، ما را به یک فنجان چای داغ مهمان کنید.
6273-8111-1003-9762

دنبال کنندگان ۳ نفر
این وبلاگ را دنبال کنید

تبلیغات

آخرین نظرات

پیوندها

۱ مطلب با کلمه‌ی کلیدی «DG612» ثبت شده است

entity dg612_ctrl is

    generic

    (

-- Many synthesis tools support only integer generics.

        sw_on_g               : integer := 50;

        sw_off_g              : integer := 50

    );

    Port

    (

        clk                   : in STD_LOGIC;

        rst                   : in STD_LOGIC;

        sw                    : out STD_LOGIC

    );

end dg612_ctrl;

--==============================================================================--

architecture Behavioral of dg612_ctrl is

--==============================================================================--

-- An integer range 0 to N-1 synthesizes to a bus of width log2 N bits. The value

-- is represented as a binary number. Negative numbers are represented in two's

-- complement format.

    signal   sw_cnt           : integer;

    signal   sw_i             : std_logic;

...