گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، گلشهر

09125623558
Nouri.Iut@Gmail.Com

جهت حمایت از این وبلاگ، ما را به یک فنجان چای داغ مهمان کنید.
6273-8111-1003-9762

دنبال کنندگان ۳ نفر
این وبلاگ را دنبال کنید

تبلیغات

آخرین نظرات

پیوندها

۱ مطلب با کلمه‌ی کلیدی «ADS4149» ثبت شده است

entity ads4149_ctrl is

  port

  (

    clk         : in  std_logic;  -- SPI Clk input

    reset       : in  std_logic;  -- reset of the system

    spi_start   : in  std_logic;  -- SPI start

    spi_data    : in  std_logic_vector(15 downto 0);  -- SPI data

    sclk        : out std_logic;  -- SPI  Clk to ADC

    sdata       : out std_logic;  -- SPI serial data to ADC

    sen         : out std_logic;  -- SPI serial enable to ADC

    spi_cfg_done: out std_logic   -- SPI Configuration Done

  );

end ads4149_ctrl;

architecture Behavioral of ads4149_ctrl is

...