گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، گلشهر

09125623558
Nouri.Iut@Gmail.Com

جهت حمایت از این وبلاگ، ما را به یک فنجان چای داغ مهمان کنید.
6273-8111-1003-9762

دنبال کنندگان ۳ نفر
این وبلاگ را دنبال کنید

تبلیغات

آخرین نظرات

پیوندها

entity clk64khz is

    port (

        clk    : in  std_logic;    -- 50mhz

        reset  : in  std_logic;

        clk_out: out std_logic    -- 2khz

    );

end clk64khz;

 

architecture behavioral of clk64khz is

    signal temporal: std_logic;

    -- scaling factor = fin/fout = 25000

    signal counter : integer range 0 to 12499 := 0;

begin

    freq_divider: process (reset, clk) begin

        if (reset = '1') then

            temporal <= '0';

            counter  <= 0;

        elsif rising_edge(clk) then

            if (counter = 12499) then

                temporal <= not(temporal);

                counter  <= 0;

            else

                counter <= counter + 1;

            end if;

        end if;

    end process;

 

    clk_out <= temporal;

end behavioral;

نظرات  (۰)

هیچ نظری هنوز ثبت نشده است

ارسال نظر

ارسال نظر آزاد است، اما اگر قبلا در بیان ثبت نام کرده اید می توانید ابتدا وارد شوید.
شما میتوانید از این تگهای html استفاده کنید:
<b> یا <strong>، <em> یا <i>، <u>، <strike> یا <s>، <sup>، <sub>، <blockquote>، <code>، <pre>، <hr>، <br>، <p>، <a href="" title="">، <span style="">، <div align="">
تجدید کد امنیتی