library ieee;
use ieee.std_logic_1164.all; -- standard unresolved logic U X 0 1 Z W L H -
use ieee.numeric_std.all; -- for the signed, unsigned types and arithmetic ops
std_logic : رفع ابهام شده ، معین! - به واقعیت نزدیک تر- گذرگاه ها
std_ulogic : مبهم، نا معین! - بیشتر در شبیه سازی استفاده می شود - سیگنال ساعت، بازنشانی، فعال ساز، ...