entity sja1000_can_ctrl is
port
(
clk : in std_logic;
rst : in std_logic;
CAN_INTL : in std_logic;
CAN_AD : inout std_logic_vector(7 downto 0);
CAN_ALE : out std_logic;
CAN_CSL : out std_logic;
CAN_RDL : out std_logic;
CAN_WRL : out std_logic;
CAN_RSTL : out std_logic;
can_baud_rate : in std_logic_vector(1 downto 0);
can_data_strb : in std_logic;
can_data : out std_logic_vector(15 downto 0);
can_data_rdy : out std_logic;
can_error_id : out std_logic_vector(7 downto 0);
can_servo_type : out std_logic;
can_servo_error : out std_logic_vector(1 downto 0);
can_disconnect : out std_logic
);
end sja1000_can_ctrl;
...