-- Definition of Generics
-- Port Declaration
-- Definition of Ports:
entity fonts is
port
(
addr : in std_logic_vector(5 downto 0);
column : in std_logic_vector(2 downto 0);
row : in std_logic_vector(2 downto 0);
pixel : out std_logic
);
end fonts;
--==============================================================================--
architecture Behavioral of fonts is
--==============================================================================--
-- Constant Declarations
-- Signal and Type Declarations
type font_table is array (0 to 511) of std_logic_vector(7 downto 0);
constant rom_512x8 : font_table := (
"00011000", -- **
"00111100", -- ****
"01100110", -- ** **
"01111110", -- ******
"01100110", -- ** **
"01100110", -- ** **
"01100110", -- ** **
"00000000", --
...