-- AD976: 16-Bit, 100 kSPS BiCMOS A/D Converter
-- ***NOTE: Input Range: +-10 V
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
--==============================================================================--
entity ad976_ctrl is
Generic
(
clk_rate : natural := 100; -- clk frequency in MHz
-- Time Between Conversions: 10 us
sample_rate : natural := 100 -- 100 kSPS Throughput
);
Port
(
clk : in std_logic;
adc_db : in std_logic_vector(15 downto 0); -- fast 16-bit adc
adc_busy_n : in std_logic; -- busy
adc_cs_n : out std_logic; -- chip select
adc_rc : out std_logic; -- read/convert
adc_en : in std_logic; -- reset
adc_clk : out std_logic; -- adc_ready
dout : out std_logic_vector(15 downto 0); -- dout
dready : out std_logic -- dout_ready
);
end ad976_ctrl;
...