The AD9648 is a monolithic, dual-channel, 1.8 V supply, 14-bit, 105 MSPS/125 MSPS analog-to-digital converter (ADC).
entity ad9648_adc_ctrl is
port
(
clock_in : in std_logic;
adc_a : in std_logic_vector(13 downto 0);
adc_b : in std_logic_vector(13 downto 0);
adc_sdio : out std_logic;
adc_sclk : out std_logic;
adc_csb : out std_logic;
adc_oeb : out std_logic;
adc_pdwn : out std_logic;
adc_clk_p : out std_logic;
adc_clk_n : out std_logic
);