گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، گلشهر

09125623558
Nouri.Iut@Gmail.Com

جهت حمایت از این وبلاگ، ما را به یک فنجان چای داغ مهمان کنید.
6273-8111-1003-9762

دنبال کنندگان ۳ نفر
این وبلاگ را دنبال کنید

تبلیغات

آخرین نظرات

پیوندها

۴۵۱ مطلب با موضوع «گروه برق و کامپیوتر» ثبت شده است

-  چنانچه بایستی تمام طرح در یک FPFA کوچک پیاده‌سازی شود و اندازه آرایه ورودی کوچک است و همچنین سرعت و عملکرد بسیار مهم نیستند، روش مرتب‌سازی حبابی پیشنهاد می‌شود.

-  چنانچه ملزم به مرتب‌سازی بسیار سریع آرایه‌ی ورودی کوچکی هستیم، بهترین انتخاب استفاده از الگوریتم‌های مرتب‌سازی موازی از قبیل الگوریتم‌های مرتب‌سازی شبکه‌ای مانند Bitonic Sort است.

-  در حالتی که تمام معیار‌های مورد نظر از قبیل سرعت، منابع FPGA مورد استفاده و بزرگی اندازه آرایه ورودی دارای اهمیت می‌باشند، رویکردهای مقایسه‌ی بیتی بهترین پیشنهاد برای پیاده‌سازی الگوریتم‌های مرتب‌سازی هستند.

معرفی فناوری WiMAX

محمد نوری 8312123

استاد: دکتر برنجکوب

دانشکده برق و کامپیوتر - دانشگاه صنعتی اصفهان

nouri.iut@gmail.com

چکیده: شبکه‌های WiMAX که به اذعان بسیاری از کارشناسان در سال های آینده فناوری غالب بازار دسترسی بی‌سیم (حداقل در بخش ثابت) خواهد بود، دارای ویژگی‌هایی فنی و مزایای قابل توجهی است که توجه اکثر فعالان مخابراتی (سازندگان، اپراتورهای ثابت و سیار و رگولاتورها) را به خود جلب کرده است. این مقاله در راستای معرفی هر چه بیشتر WiMAX، ضمن بررسی ویژگی‌هایی فنی و کاربردهای این فناوری، به جایگاه WiMAX در خاورمیانه می پردازد.

مقاومت‌های تابع حرارت (Thermistor) عناصری حساس به دما هستند، که مقدار مقومت (اُهم) آنها تابع دما است و به عبارتی دیگر، در اثر حرارت، میزان مقاومتشان تغییر می‌کند. Thermistorها از مواد نیمه هادی (اکسید فلزاتی چون منگنز، نیکل، کبالت، مس و/یا آهن همراه با سیلیکون) ساخته می‌شوند. در بیشتر مصارف، مقاومت آنها در دمای 25 درجه سانتی‌گراد بین 100 تا 100کیلو اهم می‌باشد؛ البته Thermistorهایی با مقاومت اولیه‌ی پایین‌تر از  10اُهم و بالاتر از  40مگا اُهم نیز استفاده می‌شوند.

هویه با نوک باریک 20 یا 40 وات
هویه هوای داغ
سیم لحیم باریک دارای 2% نقره
فیتیله قلع کش با قطر 1.5mm
ذره بین نسبتاً بزرگ با پایه قابل انعطاف
روغن لحیم(چسب لحیم)

00000 00000 00000

00000 00000 00000

00000 00000 00000

00000 00000 00000

00000 00000 00000

00000 00000 00000

00000 00000 00000

00000 00000 00000

;#########################################################

;                   In the Name of God

;

;       Electrical & Computer Engineering Department

;             Isfahan University of Technology

;               Machine & Assembly Language

;

;                 Mohammad Nouri,8312123

;

;                       Spring 85

;#########################################################

مشخصه­های مهم سیستمهای اویونیک فعلی، شامل افزایش ایمنی، برآورده کردن ملزومات و احتیاجات کنترل ترافیک هوایی، عملکرد در شرایط مختلف آب و هوایی، کاهش مصرف سوخت، بهبود کارائی وکنترل هواپیما، و کاهش در هزینه­های تعمیرات و نگهداری می­باشند. در انتخاب بین DSP و FPGA، زبان برنامه نویسی ساده، امکان برنامه نویسی Float و انجام عملیاتهای محاسباتی پردازش سیگنال جزو مزایای DSPها و سرعت پردازش بالا، امکان انجام چندین عملیات در یک زمان، وجود تعداد زیادی پورت ورودی و خروجی و کنترل تایمینگ دقیق از مزایای FPGAها به شمار می‌رود. 

1.         رایانه خود به خود دوباره راه اندازی (Restart) می شود!

           خرابی فنِ پردازنده (CPU) > داغ شدن پردازنده (CPU)

           اتصالی  کوتاه شدن بین بورد اصلی و محفظه رایانه

           Virus و یا Trojan

           وجود مشکلی (Error) در سیستم عامل(XP, 2000)

2.         رایانه پس از نشان دادن صفحه آبی (مرگ)، دوباره راه اندازی (Restart) می شود

           حافظه (RAM) {تغییر دادن محل قرار گرفتن حافظه یا تعویض حافظه}

           کارت گرافیک {به روز رسانی راه انداز (Driver) کارت گرافیکی}

تراشه PDIUSBD12 یک کنترل کننده دستگاه جانبی گذرگاه عمومی سریال با خصایص بهینه و قیمت مناسب است. این تراشه عموماً در سیستم های مبتنی بر میکروکنترلر استفاده می شود و از طریق واسط گذرگاه موازی چند منظوره پر سرعت با سیستم میکروکنترولری ارتباط برقرار می کند. همچنین ارسال به صورت DMA را پشتیبانی می کند.

این شیوه پیمانه ای برای پیاده سازی واسط گذرگاه عمومی سریال به طراح اجازه انتخاب سیستم میکروکنترولری بهتری از میان سیستم های متنوع موجود می دهد. این انعطاف پذیری زمان، هزینه و احتمال ضرر و زیان را کاهش می دهد.

چند روز پیش برای مصاحبه، به شرکتی نزدیک انقلاب رفته بودم؛ خیلی سوال های چرتی ازم می پرسید. خسته شده بودم!!!. از من خواست یک مقایسه ساده بین سیستم عامل ویندوز و سیستم عامل لینوکس انجام بدهم. خوب! گفتم فرق های زیادی نسبت به هم دارند؛ گفت مهم ترینش بگو؟ من هم گفتم: مثلاً: Newline...

گفت چی؟؟؟ گفتم: Newline...!!!

Unix Format

DOS Format

Line Feed

Carriage Return + Line Feed

entity random is

  generic

  (

    WIDTH      : integer := 32;

    SEED       : integer := 2

  );

 

  port

  (

    clk        : in std_logic;

    rst        : in std_logic;

    en         : in std_logic;

    random_num : out std_logic_vector (WIDTH-1 downto 0)   --output vector

  );

end random;

entity addr_gen is

  generic

  (

    C_MAX_DEPTH : integer := 1024 ;

    RST_VALUE   : std_logic_vector(31 downto 0) := (others=> '0');

    RST_INC     : integer := 0 -- offset

  );

  port

  (

    clk         : in std_logic;

    rst         : in std_logic;

    en          : in std_logic;

    load        : in std_logic;

    load_value  : in std_logic_vector (31 downto 0)  := (others => '0');

    addr_out    : out std_logic_vector (31 downto 0)

  );

end addr_gen;

entity PulseDurationDetector is

  Generic

  (

    g_CLK_FREQ_MHZ    : integer := 100;

    g_OUT1_THR_LOW_US  : integer := 9;

    g_OUT1_THR_HIGH_US  : integer := 11;

    g_OUT2_THR_LOW_US  : integer := 19;

    g_OUT2_THR_HIGH_US  : integer := 21;

    g_OUT_DURATION_US  : integer := 2

  );

  Port

  (

    i_CLK        : in   std_logic;

    i_Pulse        : in   std_logic;

    o_Out1        : out   std_logic := '0';

    o_Out2        : out   std_logic := '0'

  );     

end PulseDurationDetector;

Library IEEE;

  Use IEEE.std_logic_1164.All;

  Use IEEE.std_logic_arith.All;

  Use IEEE.std_logic_unsigned.All;

 

Entity rst_gen is

  Port(

    clk    : in  std_logic;

    reset    : out  std_logic

  );

BUFGMUX_VIRTEX4_Inst : BUFGMUX_VIRTEX4

port map

(

  O   => clk_muxout,    --Clock MUX output

  I0   => clk_wr,              --Clock0 input

  I1   => clk_rd,                --Clock1 input

  S   => sram_rd_en_i    --Clock select input

);

type   m_state_t is (idle_st, write_st, read_st, halt_st);

signal  m_state : m_state_t;