data_width : integer := 36;
...
sram_dout : out std_logic_vector(data_width - 1 downto 0);
...
SRAM_DQ : inout std_logic_vector(data_width - 1 downto 0);
...
process (clk)
begin
if rising_edge(clk) then
sram_din_r1 <= sram_din;
sram_din_r3 <= sram_din_r1 ;
end if;
end process;
...