گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

پروژه های دانشجویی ، آموزش ، مشاوره ، فروش آثار

گروه فنی مهندسی آرکام

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، گلشهر

09125623558
Nouri.Iut@Gmail.Com

جهت حمایت از این وبلاگ، ما را به یک فنجان چای داغ مهمان کنید.
6273-8111-1003-9762

دنبال کنندگان ۳ نفر
این وبلاگ را دنبال کنید

تبلیغات

آخرین نظرات

پیوندها

۴۵۱ مطلب با موضوع «گروه برق و کامپیوتر» ثبت شده است

Automatic Variables, Function & System Calls

STACK

 

 

malloc, calloc, realloc, & free

HEAP

Uninitialized Data (Global Variables & Static Variables)

BSS

Initialized Data Nonzero (Global Variables & Static Variables), Constant

DATA

Code Segment  (Executable Instructions)

TEXT

اصلی ترین قطعه الکترونیکی روی بوردACU، میکروکنترلرها هستند. غالباً ACUها، مجهز به دو میکروکنترلر هستند. میکرو اصلی شامل سیستم عامل، الگوریتم های چگونگی عملکرد کیسه هوا و روند های تشخیص تصادف و ...، میکرو کمکی یا به عبارت دیگر، میکرو ایمنی، به منظور پردازش سیگنال های حسگرها و اعمال تصمیم گیری ها استفاده می شوند. در ضمن این دو میکروکنترلر صحت عملکرد یکدیگر را نیز پایش می کنند.

چنانچه در یک تصادف شدید از جلو، باتری خودرو آسیب ببیند و از کار بیافتد، شارژ ذخیره شده در خازن های بزرگ تعبیه شده روی بورد ACU معمولا توان لازم برای عملکرد صحیح ACU را برای مدت زمان 100 تا 120 میلی ثانیه تامین می کنند.

چی بگم!!!

دو ماه گذشته، از 7 صبح (خروس خوان) تا 7 شب (بوق سگ)، تو یک شرکت قطعه ساز خودرو کار می کردم که مدیرانش...

خوب!!!... قرار بود کارشناس طراحی ACU باشم... اما نشد...

خوب!!!... من سرم تو کار خودم بود... اوایل خیلی برام سخت بود خودم را با شرایط کاری جدید وفق بدهم... 16 ساعت سر کار بودم!!!... اما کم کم داشتم عادت می کردم و کارهایم را خوب انجام می دادم...

قصد توهین به شخصی خاص یا تمسخر کسی را ندارم... در ضمن نام شخصی (حقیقی یا حقوقی)، سازمانی، شرکت دولتی یا خصوصی که در آنجا کار می کردم را نبردم... اما...

متاسفانه (شاید هم خوشبختانه) در برگه نظرات و پیشنهادهای پایان دوره آزمایشی، خالی از هرگونه چاپلوسی و تملق، صادقانه نظراتم را درباره طرز برخورد مدیران و روش های بهبود عملکرد همکاران کارشناس نوشتم. خوب!!!... مدیریت خوشش نیامد و اخراجم کرد...

دلیلش را پرسیدم، گفتند... گفتم، چه مسخره!!!... خوب دیگه! کمیت مهم تر از کیفیت است...

خوب دیگه... تصمیم گرفتم دیگه صادق نباشم...

ASUS K550JX - A - 15 inch Laptop

قطع شدن خط تلفن و موبایل به دلیل بدهی معوقه شاید برای شما نیز رخ داده باشد. اپراتورها مجاز هستند طبق ساختار در نظر گرفته شده (سقف بدهی: 20 هزار تومان) با مشترکان بدهکار، برخورد کرده و خط آنها را قطع کنند.

 

اما، وقتی برای کسی وقت می گذاری و برایش پروژه ای انجام می دهی، سپس مزد انجام این کار را نمی پردازد ، چه باید کرد...

یک کد خیلی ساده را می توان به روش های مختلفی نوشت، بنابراین، نتیجه سنتز و بیشینه کلاک ماژول طراحی شده می تواند بسیار متفاوت باشد! خوب که چی! ... من میگم ... ساختار یافته فکر کن! ساختار یافته کد بزن! و بی خیال مطلب بالا!

VHDL '87 (IEEE Std 1076-1987)

VHDL '93 (IEEE Std 1076-1993)

D(7 DOWNTO 0) <= to_stdlogicvector(x"FC");

D <= x"FC"

در شرکت‌های کوچک نقاط ضعف شما در دید رس است و شکست‌های شما بزرگنمایی شده و با کوچک‌ترین اشتباه کل مجموعه از این موضوع خبردار می‌شوند و شما را نیز زیر علامت سوال می‌برند! در ضمن اهداف این شرکت ها مقطعی و کوتاه مدت است و امنیت شغلی در آنجا بی معناست...

تجربه کاری من با شرکت های کوچکی مانند «ه ن ا» و «ط پ پ» این موضوع را برای من به روشنی نمایان ساخت که کار کردن با امثال این شرکت ها، اشتباهی بزرگ و راهبردی در کارنامه کاری من بوده است که جز هدر رفت زمان و توان، باعث ضرر مالی من نیز شده است...

یک نکته کلیدی، همیشه پیگیری کن که چرا فرد قبلی که قرار است وظایف او به شما سپرده شود، از شرکت رفته است. جواب ... !؟؟!

·         A MEALY machine has outputs that depend on both the state and  the inputs.
·         React faster to inputs  don't need to wait for clock.
·         Asynchronous outputs and asynchronous feedback are dangerous.
·         When the inputs change, the outputs are updated immediately, without waiting for a clock edge. 
·         The outputs  can be written more than once per state or per clock cycle.

·         Mealy style state-machines implement best for  CPLDs.

·         A MOORE machine's outputs are dependent only on the current state.
·         The output is written only when the state changes.
·         Outputs change at clock edge (always one cycle later).
·         May need more logic to decode state into outputs.

·         Moore style state-machines implement better for FPGAs.

--  STD_LOGIC_SIGNED                                                --

--    A set of signed arithmetic, conversion, and comparison .......--

--    functions for STD_LOGIC_VECTOR.                               --

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_signed.all;

 

entity counter is

  port

  (

    c, clr  : in  std_logic;

    q       : out std_logic_vector(3 downto 0)

  );

end counter;

 

library ieee;

use ieee.std_logic_1164.all;    -- standard unresolved logic U X 0 1 Z W L H -

use ieee.numeric_std.all;        -- for the signed, unsigned types and arithmetic ops

 

std_logic    : رفع ابهام شده ، معین! - به واقعیت نزدیک تر- گذرگاه ها

std_ulogic  : مبهم، نا معین! - بیشتر در شبیه سازی استفاده می شود - سیگنال ساعت، بازنشانی، فعال ساز، ...

library ieee;

use ieee.std_logic_1164.all;

use ieee.numeric_std.all;

 

entity count is

      port

      (

            clk         : in  std_logic;

            reset       : in  std_logic;

            enable      : in  std_logic;

            q           : out integer range 0 to 255

      );

end entity;

 

Value Type

Reference Type

A Value Type holds the data within its own memory allocation...

A Reference Type contains a pointer to another memory location that holds the real data...

Value Type variables (Predefined datatypes (char, float, int, long, short,...), Structures, Enums...)

Reference Type variables (Classes, Objects, Arrays, Indexers, Interfaces...)

Value Type variables are stored in the stack

Reference Type variables are stored in the heap

سیاهه ابزارها و دستگاه هایی که از این سیستم عامل بهره می گیرند، چند تا است؟؟؟ من زیاد تو این اینترنت گشتم، چیز دندان گیری پیدا نکردم، نه خبری از مستنداتی در این باره بود، نه کدی، نه برنامه ای، نه پروژه ای ...

https://en.wikipedia.org/wiki/List_of_devices_that_run_MontaVista_Linux

چند هفته پیش برای مصاحبه رفته بودم شرکتی اطراف میدان ونک؛ پس از دو بار مصاحبه علمی و فنی، سومین مصاحبه با غول آخر، مدیر عامل شرکت؛ تو صحبت هاش چنان از Monta Linux !!! تعریف کرد، و از عدم اطلاع من از وجود چنین سیستم عاملی تعجب کرده بود، که گفتم ... آره بابا ... یاد کنفرانس دانشجویی سال 87، زنجان افتادم، یکی از بچه ها شریف چنان با غرور و اعتماد به نفس از یکی از مقاله ها انتقاد (حمله) کرد و گفت من درباره این موضوع 100 مگ مقاله دارم که با خودم گفتم ... آره بابا ... 100 مگ، چند صفحه ... بابا تو چه خفنی .!!!. بی سواد ...

…\Middlewares\ST\STemWin\inc\WIDGET.h

/** emWin V5.28 - Graphical user interface for embedded applications **/

#define WIDGET_USE_FLEX_SKIN     1

هنگام استفاده از کتابخانه ها در برنامه ها، امکان پیکربندی مجدد آنها در زمان کامپایل (Compile Time) برنامه وجود ندارد... یک کتابخانه (Precompiled Binary) شامل پیاده سازی توابع مورد نظر برنامه نویس برای عملیاتی خاص است که به زبان ماشین (Machine Language) برگردانده شده است...

سیستم عامل های بلادرنگ

بررسی گزینه های انتخاب FPGA در سیستم های اویونیک و ﻓﻀﺎﭘﯿﻤﺎ

...

خواهشمند است به سال نشر این مقالات دقت کنید ... تا جایی که به یاد دارم تا آن تاریخ هیچ مستند فارسی درباره این موضوعات تو اینترنت پیدا نکردم ...

Combinational Logic

always @(*)

"="

Blocking Assignment

Sequential Logic

always @(posedge clk)

"<="

Nonblocking Assignment

wire

reg

wire elements are used to connect input and output ports of a module instantiation together with some other element in your design.

reg elements can be connected to the input port of a module instantiation.

reg elements cannot be connected to the output port of a module instantiation.

wire elements are used as inputs and outputs within an actual module declaration.

reg elements can be used as outputs within an actual module declaration.

reg elements cannot be used as inputs within an actual module declaration.

wire elements cannot be used as the left-hand side of an = or <= sign in an always@ block.

reg is the only legal type on the left-hand side of an always@ block = or <= sign.

Name

Source Model

Platforms

ChibiOS/RT

Open Source

x86, ARM7, ARM9, Cortex-M0-M3-M4,PowerPC e200z, STM8, AVR, MSP430, ColdFire, H8S

Contiki

Open Source

MSP430, AVR, ARM

CooCox CoOS

Open Source

STM32, NXP LPC1000, TI LM3S8962, Nuvoton NU1xx,Holtek HT32

eCos

Open Source

ARM-XScale-Cortex-M, CalmRISC, 680x0-ColdFire, fr30, FR-V, H8, IA-32, MIPS, MN10300, OpenRISC, PowerPC, SPARC,SuperH, V8xx